Research Library

open-access-imgOpen AccessHyperSense: Accelerating Hyper-Dimensional Computing for Intelligent Sensor Data Processing
Author(s)
Sanggeon Yun,
Hanning Chen,
Ryozo Masukawa,
Hamza Errahmouni Barkam,
Andrew Ding,
Wenjun Huang,
Arghavan Rezvani,
Shaahin Angizi,
Mohsen Imani
Publication year2024
Introducing HyperSense, our co-designed hardware and software systemefficiently controls Analog-to-Digital Converter (ADC) modules' data generationrate based on object presence predictions in sensor data. Addressing challengesposed by escalating sensor quantities and data rates, HyperSense reducesredundant digital data using energy-efficient low-precision ADC, diminishingmachine learning system costs. Leveraging neurally-inspired HyperDimensionalComputing (HDC), HyperSense analyzes real-time raw low-precision sensor data,offering advantages in handling noise, memory-centricity, and real-timelearning. Our proposed HyperSense model combines high-performance software for objectdetection with real-time hardware prediction, introducing the novel concept ofIntelligent Sensor Control. Comprehensive software and hardware evaluationsdemonstrate our solution's superior performance, evidenced by the highest AreaUnder the Curve (AUC) and sharpest Receiver Operating Characteristic (ROC)curve among lightweight models. Hardware-wise, our FPGA-based domain-specificaccelerator tailored for HyperSense achieves a 5.6x speedup compared to YOLOv4on NVIDIA Jetson Orin while showing up to 92.1% energy saving compared to theconventional system. These results underscore HyperSense's effectiveness andefficiency, positioning it as a promising solution for intelligent sensing andreal-time data processing across diverse applications.
Language(s)English

Seeing content that should not be on Zendy? Contact us.

The content you want is available to Zendy users.

Already have an account? Click here to sign in.
Having issues? You can contact us here