Research Library

open-access-imgOpen AccessLow Power and Temperature-Resilient Compute-In-Memory Based on Subthreshold-FeFET
Author(s)
Yifei Zhou,
Xuchu Huang,
Jianyi Yang,
Kai Ni,
Hussam Amrouch,
Cheng Zhuo,
Xunzhao Yin
Publication year2024
Compute-in-memory (CiM) is a promising solution for addressing the challengesof artificial intelligence (AI) and the Internet of Things (IoT) hardware suchas 'memory wall' issue. Specifically, CiM employing nonvolatile memory (NVM)devices in a crossbar structure can efficiently acceleratemultiply-accumulation (MAC) computation, a crucial operator in neural networksamong various AI models. Low power CiM designs are thus highly desired forfurther energy efficiency optimization on AI models. Ferroelectric FET (FeFET),an emerging device, is attractive for building ultra-low power CiM array due toCMOS compatibility, high ION/IOFF ratio, etc. Recent studies have exploredFeFET based CiM designs that achieve low power consumption. Nevertheless,subthreshold-operated FeFETs, where the operating voltages are scaled down tothe subthreshold region to reduce array power consumption, are particularlyvulnerable to temperature drift, leading to accuracy degradation. To addressthis challenge, we propose a temperature-resilient 2T-1FeFET CiM design thatperforms MAC operations reliably at subthreahold region from 0 to 85 Celsius,while consuming ultra-low power. Benchmarked against the VGG neural networkarchitecture running the CIFAR-10 dataset, the proposed 2T-1FeFET CiM designachieves 89.45% CIFAR-10 test accuracy. Compared to previous FeFET based CiMdesigns, it exhibits immunity to temperature drift at an 8-bit wordlengthscale, and achieves better energy efficiency with 2866 TOPS/W.
Language(s)English

Seeing content that should not be on Zendy? Contact us.

The content you want is available to Zendy users.

Already have an account? Click here to sign in.
Having issues? You can contact us here